entity fpmult is port( clk : in std_logic ; we : in std_logic; config : in std_logic; FA : in std_logic_vector( 31 downto 0); FB : in std_logic_vector( 31 downto 0); Q : out std_logic_vector( 31 downto 0); status : out std_logic_vector(6 downto 0)); end fpmult;